STD_LOGIC_UNSIGNED和STD_LOGIC_SIGNED程序包的区别是,STD_LOGIC_SIGNED中定义的运算符考虑到了符号,是有符号数的运算,而STD_LOGIC_UNSIGNED则正好相反

  尔雅 智慧树 mooc


+
账户
更新
搜索
帮助
主页