进程经综合后对应的硬件电路,对进程中的所有可读入信号都是敏感的,而在VHDL行为仿真中并非如此,除非将所有的读入信号列为敏感信号

  尔雅 智慧树 mooc


+
账户
更新
搜索
帮助
主页